• Welcome to TUKE FÓRUM - Fórum pre študentov Technickej Univerzity v Košiciach.
 

Stavba pocitacov

Started by tino8, 04.10.2012, 13:47:36

« predchdzajce - alie »

tino8

Kde sa to treba registrovat? som niejak zabudol


karamel je cukr co se uz neuzdravi!

Aleister

Mas na mysli registraciu na stranke www.xilinx.com pre stiahnutie WebPack ISE?

tino8

jj nepamatal som sa na webpack, diky


karamel je cukr co se uz neuzdravi!

Painkiller03

Zdravim, robi niekto zadania z tohto predmetu ? mal by som obchodnu ponuku ,  pm :)

Aleister

Neviete prosim Vas, kedy je zapoctovka? Mam na mysli, ktory tyzden... vdaka  :thumbs-up:

tino8

obavam sa ze take nieco neexistuje  :xmas:


karamel je cukr co se uz neuzdravi!

Aleister

Dakujem za odpoved na moju otazocku  :beer1: Heh, cviciaci ma nejako doplietol, ked spominal, ze sa na prednaskach dozvieme viac o zapoctovke.. mal pravdepodobne asi na mysli tie dve zadania z VHDL, ktore mam spravit  :emot-dance: Mimochodom, narazil som v pdfku v prednaske cislo 2 na zaujimavu vec.. Viete mi povedat, ake hradla su v prikladoch 1 a 2 pre budice pouzite? V scheme je zakresleny OR a AND, no podla zapisu by mali byt NOR a NAND, ci nie? Asi som si zas sedel na usiach na prednaske :)

Mike

je zajtra (15.11.2012) prednaska ?

sulo


luky

Na aký e-mail treba poslať Dankovej zadanie?
eva.chovancova@tuke.sk
alebo už funguje eva.dankova@tuke.sk?

PALLY27

Quote from: luky on  24.11.2012, 20:24:48
Na aký e-mail treba poslať Dankovej zadanie?
eva.chovancova@tuke.sk
alebo už funguje eva.dankova@tuke.sk?

podľa mna už treba použivať eva.chovancova ... ja ju mam na BP a ešte mi odpisovala aj z eva.dankova , podľa mna to ma presmerované

aj v zozname zamestnancov TUKE je už jej nové meno a email uvedené :)

tino8

neviete v akej forme bude skuska?


karamel je cukr co se uz neuzdravi!

sulo


luky

Quote from: tino8 on  30.11.2012, 02:42:32
neviete v akej forme bude skuska?
moodle
klasické otázky: 1 správna, viac správnych
dopĺňanie VHDL kódu (len neviem či tak že vybrať z možností jednu správnu alebo napísať priamo riadok kódu)

tino8

tak to je super sprava :)


karamel je cukr co se uz neuzdravi!

tino8

Co myslite, moze spravit zadanie pomocou processu?

cize podla konstrukcie
process (clk, reset)
   begin
      if reset = '1' then
         state <= s0;
      elsif (rising_edge(clk)) then
                ...
                end if;
   end process;
   


karamel je cukr co se uz neuzdravi!

Aleister

Skor by som ten proces riesil takto:

process(clk,reset)
begin
if rising_edge(clk) then
   if reset='1' then
     -- nastavenie poc. stavu
      state <= S0;
   else
      -- tu pride snad toto:
      state <= next_state;
   end if;
end if;
end process;

Takto mam synchronny reset. Aspon takto by som to riesil ja pri automate.. :)

tino8

#17
ten kod som tu dal iba ako priklad, iba som sa chcel spytat ci tak mozem riesit zadanie, lebo pri automate moore by sa mi to hodilo


// uz to vidim na moodli, takze moja otazka je zbytocna...


karamel je cukr co se uz neuzdravi!

jany1

neviete aka ma byt struktura, resp. co vsetko ma byt v referate k zadaniu pre Madosa?
vdaka

tino8

ma tam byt obsah, znenie zadania, dodefinovanie ak treba, niejaka analyza, rtl schema ak nieje komplikovana a vhdl kod ak nieje velmi dlhy


karamel je cukr co se uz neuzdravi!

protos

Cviko s Madosom vo stvrtok o 15:10- treba ist tento tyzden? A mate uz zapisane zapocty?

tino8



karamel je cukr co se uz neuzdravi!

milano.1

Zdravim :) prosim vas, robil tu niekto zadanie cislo 7: Navrhnite 4-bitové synchrónne počítadlo na základe JK klopného obvodu, pričom signál clk jepripojený na všetky 4 Jk klopné obvody, a preto sa zmenia v rovnakom momente.
Dajte mi prosim vas vediet, potreboval by som akukolvek pomoc :) dakujem velmi pekne za ochotu uz dopredu :)

scorpi

niekto kto by vedel spravit zadania 5a? "Navrhnite generátor priority, ktorý určí prioritu spracovávaného slova na základe princípu FIFO ( first in , first out) . Vstupné slovo bude vo formáte 8 bitov." ja daco mam, ale nieje to velmi funkcne

milano.1

NEVIE NIKTO POMOCT??? PROSIM VAS PEKNE :)
7: Navrhnite 4-bitové synchrónne počítadlo na základe JK klopného obvodu, pričom signál clk jepripojený na všetky 4 Jk klopné obvody, a preto sa zmenia v rovnakom momente.